Plasma Charging Damage

Lieferzeit: Lieferbar innerhalb 14 Tagen

213,99 

ISBN: 1852331445
ISBN 13: 9781852331443
Autor: Cheung, Kin P
Verlag: Springer Verlag GmbH
Umfang: xii, 346 S.
Erscheinungsdatum: 04.10.2000
Produktform: Gebunden/Hardback
Einband: GEB

The only book of its kind – the only competition on subject matter comes from a Japanese language text to which this author is also a contributorIt is neither as coherent nor as comprehensive as the current textThe books readership runs the whole gamut of experience in the subjectThe author works at AT&T’s Bell Laboratories in New JerseyThis laboratory is a world leader in many areas of technology

Artikelnummer: 1482184 Kategorie:

Beschreibung

In the 50 years since the invention of transistor, silicon integrated circuit (IC) technology has made astonishing advances. A key factor that makes these advances possible is the ability to have precise control on material properties and physical dimensions. The introduction of plasma processing in pattern transfer and in thin film deposition is a critical enabling advance among other things. In state of the art silicon Ie manufacturing process, plasma is used in more than 20 different critical steps. Plasma is sometimes called the fourth state of matter (other than gas, liquid and solid). It is a mixture of ions (positive and negative), electrons and neutrals in a quasi-neutral gaseous steady state very far from equilibrium, sustained by an energy source that balances the loss of charged particles. It is a very harsh environment for the delicate ICs. Highly energetic particles such as ions, electrons and photons bombard the surface of the wafer continuously. These bombardments can cause all kinds of damage to the silicon devices that make up the integrated circuits.

Inhaltsverzeichnis

Inhaltsangabe1. Thin Gate-oxide Wear-out and Breakdown.- 1.1 The MOSFET.- 1.2 Tunneling Phenomena in Thin Oxide.- 1.2.1 Fowler-Nordheim (FN) Tunneling.- 1.2.2 Tunneling Current Oscillation.- 1.2.3 Direct Tunneling.- 1.3 Thin Oxide Breakdown Measurements.- 1.3.1 Median Time to Breakdown (TBD).- 1.3.2 Charge to Breakdown (QBD).- 1.3.3 Voltage to Breakdown (VBD).- 1.3.4 Soft Breakdown.- 1.3.5 Statistical Behavior of Oxide Breakdown.- 1.4 Gate-oxide Breakdown Models.- 1.4.1 Oxide-field Runaway Model.- 1.4.2 The Percolation Model.- 1.5 Trap Generation Model and Acceleration Factors.- 1.5.1 Voltage Acceleration Factor.- 1.5.2 Temperature Acceleration Factor.- 1.6 Defects, Traps and Latent Defects.- 1.6.1 Defects and Traps.- 1.6.2 Latent Defects.- References.- 2. Mechanism of Plasma Charging Damage I.- 2.1 Basic Plasma Characteristics.- 2.1.1 Quasi-neutral Character of Plasma.- 2.1.2 Particle Temperatures.- 2.1.3 Floating Potential and Plasma Sheath.- 2.1.4 Pre-sheath and "Bohm Criterion".- 2.1.5 Independence of Sheath Potential.- 2.2 Charge Balance and Plasma Charging.- 2.2.1 Plasma Charging from Non-uniform Plasma.- 2.2.2 Effect of Injecting and Removing Electrons on Charge Balance.- 2.2.3 Asymmetric Response of Electron Current.- 2.3 Charging in the Presence of an Applied Bias.- 2.3.1 DC-bias from Applied AC Voltage.- 2.3.2 Relationship Between DC-bias and Charging Damage.- 2.3.3 Charge Balance with Large DC-bias.- 2.4 Fowler-Nordheim (FN) Tunneling and Charge Balance.- 2.4.1 Impact of FN Tunneling on Floating Potential Distribution.- 2.4.2 Bipolar Damage by Tunneling.- 2.4.3 Floating Substrate.- 2.4.4 Charge Balance versus the Charge Imbalance Model.- 2.5 Antenna Effect.- 2.5.1 Area Antenna Effect.- 2.5.2 Area Antenna Effect ¡ª Gate Injection Case.- 2.5.3 Perimeter Antenna Effect.- 2.6 Uniformity of Electron Temperature.- 2.7 Charging Damage by High-density Plasma.- References.- 3. Mechanism of Plasma Charging Damage II.- 3.1 Electron-shading Effect.- 3.1.1 Basics of Electron-Shading Effect.- 3.1.2 Analytical Model.- 3.1.3 Impact of RF Bias on Electron-shading Damage.- 3.1.4 Ion Repulsion Model of Electron-shading Damage.- 3.1.5 Electron-shading Effect in the Presence of FN Tunneling.- 3.1.6 The Effect of Electron Temperature on Electron-shading Damage.- 3.1.7 Negative Electron-shading Effect.- 3.1.8 Reduction of Electron-shading Damage Using Pulsed Plasma.- 3.1.9 Electron-shading Damage and Oxide Etching.- 3.1.10Electron-shading Damage and RF-sputter Clean Process.- 3.2 AC Charging Effect.- 3.2.1 Oscillating Oxide Field due to RF Bias.- 3.2.2 AC Charging from Pulsed Electron Flux.- 3.3 RF Bias Transient Charging Damage.- References.- 4. Mechanism of Plasma Charging Damage III.- 4.1 Plasma Charging Damage from Dielectric Deposition.- 4.2 Plasma Charging Damage from Magnetized Plasma.- 4.3 Plasma Charging Damage at the Transistor Channel's Edge.- 4.4 Plasma Charging Damage in Very Short Range.- 4.5 Hidden Antenna Effects.- References.- 5. Charging Damage Measurement I ¡ª Determination of Plasma's Ability to Cause Damage.- 5.1 Direct Plasma Property Measurement with Langmuir Probe.- 5.2 Stanford Plasma-On-Wafer Real-Time (SPORT) Probe.- 5.3 Using MNOS Device to Measure Plasma Charging Voltage.- 5.4 EEPROM and CHARM®.- 5.5 Common Problems with Methods that Measure Plasma Properties Directly.- 5.6 Rapid In-line Charge Sensing Methods.- 5.6.1 The Contact-Potential-Difference (CPD) Method.- 5.6.2 The Corona-Oxide-Semiconductor (COS) Method.- References.- 6. Charging Damage Measurement II ¡ª Direct Measurement of Damage.- 6.1 50A.- 6.3.2 Breakdown Test for Oxide < 50A.- 6.4 Wear-out Tests.- 6.4.1 Stress-Induced Leakage Current (SILC) Measurement.- 6.4.2 Flash Memory Retention Time Measurment.- 6.4.3 Initial Electron Trapping Slope Measurement.- 6.4.4 Transistor Parameter Shift Measurements.- 6.4.5 Hot-carrier and Fast Hot-carrier Stress Methods.- 6.4.6 Other Methods.- 6.4.6.1 Interface State Density Measurement 30.- 6.4.6.2Differential Amplifier Pai

Das könnte Ihnen auch gefallen …